为了账号安全,请及时绑定邮箱和手机立即绑定

不太清楚这个定义哪里错了求帮忙改改

不太清楚这个定义哪里错了求帮忙改改

PHP
Cats萌萌 2023-02-17 17:13:48
module sw(input wire [9:0] hc,input wire [7:0] sw,output reg [7:0] m[0:199],input wire [7:0] n[0:199]);wire [7:0] i;always @(posedge hc[9])begin for (i=0;i<199;i=i+1)m[i]=n[i+1];m[199]=sw ;endendmoduleERROR:HDLCompilers:26 - "sw.v" line 26 expecting ')', found '['ERROR:HDLCompilers:28 - "sw.v" line 33 'm' has not been declaredERROR:HDLCompilers:28 - "sw.v" line 34 'm' has not been declared
查看完整描述

2 回答

?
千巷猫影

TA贡献1829条经验 获得超7个赞

1. 有 3个4bit数组
2. 一般声明为 reg [3:0] mem_xx [0:2],注意顺序。
3. 数组的初始化可用readmemh和readmemb
4. 使用时直接mem_xx[2] = 4'bxxxx这样就好。

查看完整回答
反对 回复 2023-02-20
?
芜湖不芜

TA贡献1796条经验 获得超7个赞

不要放在端口定义里面,最好把端口定义,输入输出,端口类型分开定义。你这看着太乱了,虽然省地方,但是要养成标准的写法。还有就是你的for语句用错了,你在看看for是怎么用的,少一个函数。

查看完整回答
反对 回复 2023-02-20
  • 2 回答
  • 0 关注
  • 130 浏览

添加回答

举报

0/150
提交
取消
微信客服

购课补贴
联系客服咨询优惠详情

帮助反馈 APP下载

慕课网APP
您的移动学习伙伴

公众号

扫描二维码
关注慕课网微信公众号